Follow
Zhe Li
Zhe Li
Verified email at google.com - Homepage
Title
Cited by
Cited by
Year
Thoracic Disease Identification and Localization with Limited Supervision
Z Li, C Wang, M Han, Y Xue, W Wei, LJ Li, L Fei-Fei
Conference on Computer Vision and Pattern Recognition 2018, 2018
3902018
A hierarchical framework of cloud resource allocation and power management using deep reinforcement learning
N Liu, Z Li, J Xu, Z Xu, S Lin, Q Qiu, J Tang, Y Wang
2017 IEEE 37th international conference on distributed computing systems …, 2017
3162017
CirCNN: accelerating and compressing deep neural networks using block-circulant weight matrices
C Ding, S Liao, Y Wang, Z Li, N Liu, Y Zhuo, C Wang, X Qian, Y Bai, ...
Proceedings of the 50th Annual IEEE/ACM International Symposium on …, 2017
3102017
Sc-dcnn: Highly-scalable deep convolutional neural network using stochastic computing
A Ren, Z Li, C Ding, Q Qiu, Y Wang, J Li, X Qian, B Yuan
ASPLOS 2017 - 22nd International Conference on Architectural Support for …, 2017
2522017
C-LSTM: Enabling efficient LSTM using structured compression techniques on FPGAs
S Wang, Z Li, C Ding, B Yuan, Q Qiu, Y Wang, Y Liang
Proceedings of the 2018 ACM/SIGDA International Symposium on Field …, 2018
2312018
Prior-aware neural network for partially-supervised multi-organ segmentation
Y Zhou, Z Li, S Bai, C Wang, X Chen, M Han, E Fishman, AL Yuille
Proceedings of the IEEE/CVF International Conference on Computer Vision …, 2019
1882019
HEIF: Highly efficient stochastic computing-based inference framework for deep neural networks
Z Li, J Li, A Ren, R Cai, C Ding, X Qian, J Draper, B Yuan, J Tang, Q Qiu, ...
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2018
922018
Towards acceleration of deep convolutional neural networks using stochastic computing
J Li, A Ren, Z Li, C Ding, B Yuan, Q Qiu, Y Wang
2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC), 115-120, 2017
832017
E-RNN: Design Optimization for Efficient Recurrent Neural Networks in FPGAs
Z Li, C Ding, S Wang, W Wen, Y Zhuo, C Liu, Q Qiu, W Xu, X Lin, X Qian, ...
25th IEEE International Symposium on High-Performance Computer Architecture, 2019
762019
Theoretical Properties for Neural Networks with Weight Matrices of Low Displacement Rank
L Zhao, S Liao, Y Wang, Z Li, J Tang, V Pan, B Yuan
Proceedings of the 34th International Conference on Machine Learning 70 …, 2017
692017
Hardware-driven nonlinear activation for stochastic computing based deep convolutional neural networks
J Li, Z Yuan, Z Li, C Ding, A Ren, Q Qiu, J Draper, Y Wang
2017 International Joint Conference on Neural Networks (IJCNN), 1230-1236, 2017
632017
Dscnn: Hardware-oriented optimization for stochastic computing based deep convolutional neural networks
Z Li, A Ren, J Li, Q Qiu, Y Wang, B Yuan
2016 IEEE 34th International Conference on Computer Design (ICCD), 678-681, 2016
632016
Designing reconfigurable large-scale deep learning systems using stochastic computing
A Ren, Z Li, Y Wang, Q Qiu, B Yuan
2016 IEEE International Conference on Rebooting Computing (ICRC), 1-7, 2016
512016
Structural design optimization for deep convolutional neural networks using stochastic computing
Z Li, A Ren, J Li, Q Qiu, B Yuan, J Draper, Y Wang
Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017 …, 2017
472017
Towards ultra-high performance and energy efficiency of deep learning systems: an algorithm-hardware co-optimization framework
Y Wang, C Ding, Z Li, G Yuan, S Liao, X Ma, B Yuan, X Qian, J Tang, ...
Proceedings of the AAAI Conference on Artificial Intelligence 32 (1), 2018
452018
Normalization and dropout for stochastic computing-based deep convolutional neural networks
J Li, Z Yuan, Z Li, A Ren, C Ding, J Draper, S Nazarian, Q Qiu, B Yuan, ...
Integration 65, 395-403, 2019
312019
Energy-Efficient, High-Performance, Highly-Compressed Deep Neural Network Design using Block-Circulant Matrices
S Liao, Z Li, X Lin, Q Qiu, Y Wang, B Yuan
2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 458-465, 2017
282017
An Area and Energy Efficient Design of Domain-Wall Memory-Based Deep Convolutional Neural Networks using Stochastic Computing
X Ma, Y Zhang, G Yuan, A Ren, Z Li, J Han, J Hu, Y Wang
2018 19th International Symposium on Quality Electronic Design (ISQED), 2018
242018
Developing a disaster surveillance system based on wireless sensor network and cloud platform
J Cen, T Yu, Z Li, S Jin, S Liu
IET International Conference on Communication Technology and Application …, 2011
232011
Softmax regression design for stochastic computing based deep convolutional neural networks
Z Yuan, J Li, Z Li, C Ding, A Ren, B Yuan, Q Qiu, J Draper, Y Wang
Proceedings of the on Great Lakes Symposium on VLSI 2017, 467-470, 2017
222017
The system can't perform the operation now. Try again later.
Articles 1–20