Follow
Sebastian Engelmann
Sebastian Engelmann
Verified email at us.ibm.com
Title
Cited by
Cited by
Year
High performance and highly uniform gate-all-around silicon nanowire MOSFETs with wire size dependent scaling
S Bangsaruntip, GM Cohen, A Majumdar, Y Zhang, SU Engelmann, ...
2009 IEEE International Electron Devices Meeting (IEDM), 1-4, 2009
5852009
Gate-all-around silicon nanowire 25-stage CMOS ring oscillators with diameter down to 3 nm
S Bangsaruntip, A Majumdar, GM Cohen, SU Engelmann, Y Zhang, ...
2010 symposium on VLSI technology, 21-22, 2010
2952010
Fluorocarbon assisted atomic layer etching of SiO2 using cyclic Ar/C4F8 plasma
D Metzler, RL Bruce, S Engelmann, EA Joseph, GS Oehrlein
Journal of Vacuum Science & Technology A 32 (2), 2014
2022014
Two-Dimensional Pattern Formation Using Graphoepitaxy of PS-b-PMMA Block Copolymers for Advanced FinFET Device and Circuit Fabrication
H Tsai, JW Pitera, H Miyazoe, S Bangsaruntip, SU Engelmann, CC Liu, ...
ACS nano 8 (5), 5227-5232, 2014
1752014
Experimental realization of deep-subwavelength confinement in dielectric optical resonators
S Hu, M Khater, R Salas-Montiel, E Kratschmer, S Engelmann, ...
Science advances 4 (8), eaat2355, 2018
1662018
Density scaling with gate-all-around silicon nanowire MOSFETs for the 10 nm node and beyond
S Bangsaruntip, K Balakrishnan, SL Cheng, J Chang, M Brink, I Lauer, ...
2013 IEEE international electron devices meeting, 20.2. 1-20.2. 4, 2013
1182013
A novel approach to photonic packaging leveraging existing high-throughput microelectronic facilities
T Barwicz, Y Taira, TW Lichoulas, N Boyer, Y Martin, H Numata, JW Nah, ...
IEEE Journal of Selected Topics in Quantum Electronics 22 (6), 455-466, 2016
1102016
Fluorocarbon assisted atomic layer etching of SiO2 and Si using cyclic Ar/C4F8 and Ar/CHF3 plasma
D Metzler, C Li, S Engelmann, RL Bruce, EA Joseph, GS Oehrlein
Journal of Vacuum Science & Technology A 34 (1), 2016
1072016
An O-band metamaterial converter interfacing standard optical fibers to silicon nanophotonic waveguides
T Barwicz, A Janta-Polczynski, M Khater, Y Thibodeau, R Leidy, J Maling, ...
Optical Fiber Communication Conference, Th3F. 3, 2015
1032015
Scaling of SOI FinFETs down to fin width of 4 nm for the 10nm technology node
JB Chang, M Guillorn, PM Solomon, CH Lin, SU Engelmann, A Pyzyna, ...
2011 Symposium on VLSI Technology-Digest of Technical Papers, 12-13, 2011
952011
Plasma-surface interactions of model polymers for advanced photoresists using C4F8∕ Ar discharges and energetic ion beams
S Engelmann, RL Bruce, T Kwon, R Phaneuf, GS Oehrlein, YC Bae, ...
Journal of Vacuum Science & Technology B: Microelectronics and Nanometer …, 2007
792007
Synergistic effects of vacuum ultraviolet radiation, ion bombardment, and heating in 193nm photoresist roughening and degradation
D Nest, DB Graves, S Engelmann, RL Bruce, F Weilnboeck, GS Oehrlein, ...
Applied Physics Letters 92 (15), 2008
782008
Study of ion and vacuum ultraviolet-induced effects on styrene-and ester-based polymers exposed to argon plasma
RL Bruce, S Engelmann, T Lin, T Kwon, RJ Phaneuf, GS Oehrlein, ...
Journal of Vacuum Science & Technology B: Microelectronics and Nanometer …, 2009
742009
Understanding the roughening and degradation of 193 nm photoresist during plasma processing: synergistic roles of vacuum ultraviolet radiation and ion bombardment
D Nest, TY Chung, DB Graves, S Engelmann, RL Bruce, F Weilnboeck, ...
Plasma processes and polymers 6 (10), 649-657, 2009
702009
Nanowire FET with trapezoid gate structure
JW Sleight, S Bangsaruntip, SU Engelmann, Y Zhang
US Patent 8,298,881, 2012
662012
Near-surface modification of polystyrene by Ar+: Molecular dynamics simulations and experimental validation
JJ Vegh, D Nest, DB Graves, R Bruce, S Engelmann, T Kwon, RJ Phaneuf, ...
Applied Physics Letters 91 (23), 2007
662007
Studies of plasma surface interactions during short time plasma etching of 193 and 248nm photoresist materials
X Hua, S Engelmann, GS Oehrlein, P Jiang, P Lazzeri, E Iacob, M Anderle
Journal of Vacuum Science & Technology B: Microelectronics and Nanometer …, 2006
622006
An integrated silicon photonics technology for O-band datacom
NB Feilchenfeld, FG Anderson, T Barwicz, S Chilstedt, Y Ding, ...
2015 IEEE International Electron Devices Meeting (IEDM), 25.7. 1-25.7. 4, 2015
602015
Photoresist modifications by plasma vacuum ultraviolet radiation: The role of polymer structure and plasma chemistry
F Weilnboeck, RL Bruce, S Engelmann, GS Oehrlein, D Nest, TY Chung, ...
Journal of Vacuum Science & Technology B 28 (5), 993-1004, 2010
582010
Challenges of tailoring surface chemistry and plasma/surface interactions to advance atomic layer etching
SU Engelmann, RL Bruce, M Nakamura, D Metzler, SG Walton, ...
ECS Journal of Solid State Science and Technology 4 (6), N5054, 2015
572015
The system can't perform the operation now. Try again later.
Articles 1–20